Institute for Communication Technologies and Embedded Systems

Optimising Operator Sets for Analytical Database Processing on FPGAs

Authors:
Drewes, A. ,  Joseph, J. M. ,  Gurumurthy, B. ,  Broneske, D. ,  Saake, G. ,  Pionteck, T.
Book Title:
ARC
Date:
2020
DOI:
10.1007/978-3-030-44534-8_3
hsb:
RWTH-2021-06739
Language:
English
Abstract:
The high throughput and partial reconfiguration capabilities of modern FPGAs make them an attractive hardware platform for query processing in analytical database systems using overlay architectures. The design of existing systems is often solely based on hardware characteristics and thus does not account for all requirements of the application. In this paper, we identify two design issues impeding system integration of low-level database operators for runtime-reconfigurable overlay architectures on FPGAs: First, the granularity of operator sets within each processing pipeline; Second, the mapping of query (sub-)graphs to complex hardware operators. We solve these issues by modeling them as variants of the subgraph isomorphism problem. Via optimised operator fusion guided by a heuristic we reduce the number of required reconfigurable regions between 30% and 85% for relevant TPC-H database benchmark queries. This increase in area efficiency is achieved without performance penalties. In 86% of iterations of the operator fusion process, the proposed heuristic finds optimal candidates, which is 3.6× more often than for a naive greedy approach.
Download:
BibTeX