Institute for Communication Technologies and Embedded Systems

Black box ESL power estimation for loosely-timed TLM models

Authors:
Führ (Onnebrink), G.Leupers, R.Ascheid, G. ,  Schürmans, S.
Book Title:
ViPES Workshop 2016
Date:
Jul. 2016
Language:
English
Abstract:
Design space exploration (DSE) at system level needs to cover all parameters and has to find the best trade-off between performance and power of modern heterogeneous multi- and many-processor SoCs (MPSoC). Modelling virtual platforms with SystemC TLM offers fast HW and SW co-design using the loosely-timed (LT) coding style. However, simulations at this high abstraction level lack the capability of providing power estimates in case no insight into the models of the virtual platform is possible. This paper extends a well-proven black box power estimation methodology. The proposed method is capable of estimating the power with high accuracy using fast LT modelling.
Two case studies reveal average estimation errors of just 5.1% and 3.5% for the ARM Cortex-A9 on the PandaBoard and the Blackfin 609 DSP on the FinBoard, respectively.
Download:
BibTeX